重點

· 在設計人員的推動下,加快部署7nm Fusion Design Platform,在具有挑戰性的設計方面,不僅設計實現質量提升了20%,設計收斂速度也提高了兩倍多

· Fusion Design Platform重新定義了傳統的設計工具界限,將最佳邏輯綜合和佈局佈線、行業金牌signoff與新一代可測性設計技術進行整合,提供最可預測的7nm全流程收斂方案,最大程度上減少了迭代次數

新思科技(Synopsys, Inc.,納斯達克股票市場代碼: SNPS)近日宣佈,在設計人員的推動下,在設計人員的推動下,Fusion Design Platform™已實現重大7nm工藝里程碑,用戶流片數突破100,不僅設計實現質量提升了20%,設計收斂速度也提高了2倍。

Fusion Design Platform由新思科技市場領先的數字設計工具組成,重新定義了傳統的工具界限,共享引擎並使用獨特的單一數據模型來進行邏輯和物理表示,不僅降低了具有挑戰性的7nm設計功耗,也提升了性能。

新思科技芯片設計事業部聯席總經理Sassine Ghazi表示“用戶正在快速部署Fusion Design Platform,這讓我們感到非常鼓舞人心。我們已與用戶設計團隊密切合作,設計能夠在高級工藝節點上應對日益升級的技術挑戰的平臺。通過使用7nm Fusion Design Platform,設計團隊能夠顯著提高生產力,增加設計差異性並更快地推出他們的最終產品。”

Fusion Design Platform提供基於7nm極紫外單次曝光的優化,支持過孔支柱和連排打孔,以實現最大的設計可佈線性和利用率,以及最少的電壓降和電遷移。

該平臺通過使用Design Compiler® Graphical 和Design Compiler® NXT綜合、IC Compiler™II 佈局佈線和Fusion Compiler™RTL-to-GDSII系統、TestMAX™測試與診斷、PrimeTime® signoff、StarRC®提取、RedHawk Analysis Fusion電源完整性,以及IC Validator物理signoff 工具,提供最可預測的7nm全流程收斂方案,最大程度上減少迭代次數。

相關文章