《我的第二個UVM代碼——連接interface》中直接在testcase裏驅動interface,當代碼越來越多,需要考慮把環境拆分成多個小的環境,便於修改和維護。

如下圖,定義一個driver,並例化在testcase裏。把interface連接到driver裏的virtual interface,在driver裏toggle interface,實現協議功能。

第一步,在build_phase裏連接virtual interface。分兩次,tb到testcase,再從testcase裏取出interface轉存到driver。如下圖。注意,get和set的路徑是由幾個參數共同組成的。例如,null、uvm_test_top、u_intf組成了uvm_test_top.u_intf。又如,this(uvm_test_top)、my_driver、u_intf組成了uvm_test_top.my_driver.u_intf。

在編譯時可以加入+UVM_CONFIG_DB_TRACE來打印config_db的set和get的詳細信息。

第二步,在driver的main_phase裏驅動virtual interface。這一步與

《我的第二個UVM代碼——連接interface》沒什麼區別,只是把代碼從testcase裏移到driver裏而已。

第三步,編譯仿真。仿真命令(以irun爲例)、波形、環境層次關係(verdi)如下。

總結:在本示例中沒有env、agent、sequencer、sequence等組件,屬於一個簡化的驗證環境,主要是由於分步演示的需要。側面也說明UVM是一個靈活的驗證架構,可以根據自己的需要進行裁剪。

相關文章