抗衡臺積電,曙光乍現

來源:半導體產業縱橫

分析稱,臺積電,三星,英特爾的決勝戰很可能出現在1nm~2nm製程節點上,但從成本、晶體管效能和功率效率方面來看,臺積電的優勢恐怕會弱於現在。

在全球半導體市場,IDM的發展勢頭和行業影響力似乎越來越弱,而晶圓代工業務模式的行業地位卻在持續提升。從行業龍頭廠商的發展現狀,也可以看出這種發展態勢,眼下,市值最高的兩大半導體企業,一個是英偉達,市值已經超過2萬億美元,火爆異常,另一個是臺積電,在2022和2023年,英偉達股價暴漲之前,臺積電的市值是半導體企業裏最高的,一度超過7000億美元,後來有所下滑,但現在又恢復到7000億美元以上。

英偉達和臺積電是晶圓代工業務模式下的典型企業,一個設計,一個生產,而且都聚焦先進製程工藝,珠聯璧合,成爲當下半導體行業最搶眼的存在。

相比之下,老牌的IDM企業,並穩定在各自領域內排名前三的企業,有兩大代表,一個是英特爾,一個是德州儀器(TI),一個做數字邏輯芯片,一個做模擬芯片,這兩家都是各自領域的龍頭。但它們最近今幾年的日子似乎都不太好過,特別是德州儀器,無論是市值,還是營收、利潤,或是業務拓展能力,與早些年相比都在下滑,而且裁員不斷。

晶圓代工正在全面打壓IDM。也正是因爲如此,在全球晶圓代工廠商中綜合實力最強的三家:臺積電,三星,英特爾,其中的兩家——三星和英特爾——都從IDM進入了晶圓代工業,且投入力度越來越大。

01 發展策略各有不同

對於臺積電、英特爾和三星這三大廠商來說,原來採取的晶圓代工策略各有不同,但近兩年越來越趨同,那就是把越來越多的資源投入到最先進製程工藝技術上。

臺積電的基調一直沒變,持之以恆地將晶圓代工業務做到極致,特別是在先進製程方面,是臺積電投入的重點,每年都會有大量資金砸進去,而發展到10nm的時候,臺積電相對於行業競爭對手(主要是三星)的優勢越來越明顯,在7nm和5nm製程芯片量產方面,臺積電形成了對競爭者的碾壓態勢,並將這種優勢延續到了3nm。

三星方面,在20nm及以上製程時代,與臺積電之間的差距沒有現在這麼大,而到了14nm(臺積電稱爲16nm),三星憑藉在製程工藝方面的突破,在這一節點處壓了臺積電一頭,但是,這種優勢並沒有持續太久,臺積電很快就趕了上來,並在10nm以下製程領域使三星越來越難受。爲了追趕臺積電,三星電子於2017年決定分拆晶圓代工業務部門,以尋求更多客戶,特別是行業大客戶的信賴,但從結果來看,這樣的分拆並不算成功,或者說,對於三星這樣在韓國處於巨無霸地位的企業來說,要想完全將晶圓代工業務分拆出來,難度太大。

英特爾方面,在上一位CEO的規劃裏,晶圓代工業務幾乎被無視掉了,而是將主要精力和資源投入到了核心產品CPU,以及各種新型處理器產品(如手機處理器和AI處理器),但從實際結果來看,都不理想,在CPU方面,AMD在過去5年裏,憑藉架構和設計創新,以及合作伙伴臺積電的製程優勢,快速逆襲,搶奪了大量原本屬於英特爾的CPU市場份額。與此同時,GPU在AI領域的重要性不斷凸顯出來,而臺積電的製程工藝優勢依然發揮着關鍵作用,相反,在那段時期,英特爾並沒有重視GPU市場,錯過了最佳的發展機遇期,這也導致該公司在最近幾年大力投入GPU研發時,總是有種事倍功半的效果。

在新任CEO的帶領下,英特爾大幅調整了發展策略,將晶圓代工業務放在了頭等重要的位置,幾乎是要All in式的投入,從近兩年以及未來的發展來看,英特爾的這個決策還是值得期待的,雖然時間稍晚了一些,但並沒有錯過,發展結果如何,估計5年後可以見分曉。

02 行業地位此消彼長

總的發展策略會導致相應的結果,這在臺積電、英特爾和三星晶圓代工業務上有明顯體現,特別是行業排名,最爲明顯。

就近兩年的排名來看,這三強的變化很明顯,臺積電市佔率已經提升到60%,三星下滑明顯,英特爾在十強榜單中進進出出。

前些天,TrendForce發佈了2023年第四季度全球十大晶圓代工廠營收排名榜單,如下圖所示。

可以看出,臺積電的市佔率已經提升到了61.2%,環比上升,而三星的市佔率爲11.3%,環比下降。英特爾方面,該公司的代工業務IFS(Intel Foundry Service)在2023年第三季度歷史首次出現在該榜單中,當時排名第九位。而在第四季度榜單中,英特爾被擠出了前十。

2022年第四季度,排名情況基本不變。市佔率方面,臺積電爲58.5%,三星的市佔率爲15.8%,那時,英特爾還沒有出現在榜單中。

2021年第四季度,在榜單中,臺積電的市佔率爲52.1%,三星爲18.3%,那時,英特爾也不可能出現在榜單中,因爲該公司是在2021年正式推出IFS服務的,一切纔剛剛開始。

綜合以上3年內的市佔率來看,臺積電年年穩步提升,而三星則正相反。英特爾經過兩年的籌備和發展,在2023年第三季度首次出現在該榜單中,但在第四季度又消失了。這些,從一個側面體現出這三家廠商晶圓代工業務發展策略所產生的結果,即臺積電從一開始就走純代工模式,最大化地獲得客戶信任,同時將先進製程發展到行業極致水平,才能將市佔率穩步提升,三星則介於IDM和純代工模式之間,且在先進製程方面未能發展出行業頂尖水平,此消彼長,市佔率在下滑。英特爾在榜單上的呈現與消失,則體現出其在業務發展初期的不穩定性。

03 工藝技術比拼

行業市佔率的變化,在很大程度上取決於製程工藝技術水平的高低。無論是過去還是現在,臺積電的綜合實力是最強的,特別是在晶體管密度和能效方面,技術積累的優勢短時間內難以被超越。不過,最近幾年,英特爾追趕的腳步很快,在解決了困擾多年的10nm製程工藝(在未攻克該節點之前,英特爾在14nm製程上徘徊了近5年時間)以後,該公司的製程節點演進速度明顯提升,正在拉近與臺積電的距離。在這種情況下,三星壓力越來越大,因爲前有(臺積電)堵截,後有(英特爾)追兵,未來,三星的晶圓代工業務日子恐怕不好過。

最近,TechInsights發佈了一份臺積電、英特爾、三星製程技術對比報告,主要關注先進製程的晶體管密度、運算效能和能耗效率。

晶體管密度方面,臺積電3nm(N3)製程及其強化版N3E,晶體管密度達到283MTx/mm²(每平方毫米百萬晶體管數)和273MTx/mm²,都高於Intel 18A的195MTx/mm²。Intel 18A採用背面供電技術(Backside power),對降低能耗有一定幫助,但英特爾沒有公佈能耗數據。總體來看,Intel 18A大幅超越臺積電3nm性能還是不太可能。

三星領先臺積電跨入GAA架構Nanosheet製程,力圖彎道超車,不過,比較晶體管密度、性能、能耗後,同年內,三星的製程工藝都落後於臺積電,臺積電晶體管密度約是三星的1.5倍以上,;先進製程客戶數量方面,臺積電也遠超三星。

還有一點很重要,那就是良率,它直接影響生產成本和客戶認可度。

自從進入5nm製程時代以來,良率一直是三星晶圓代工業務所面對的最大問題,特別是在3nm製程節點上,三星率先引入了全新的GAA架構晶體管,與以往使用的FinFET晶體管有較大區別,也使良率問題進一步放大。

據Notebookcheck報道,目前,三星的3nm工藝良率在50%附近徘徊,依然有一些問題需要解決。三星2023年曾表示,其3nm工藝量產後的良率已達到60%以上,不過,現在看來,當時過於樂觀了。

今年2月,據報道,三星新版3nm工藝存在重大問題,試產芯片均存在缺陷,良率爲0%。報道指出,採用3nm工藝的Exynos 2500芯片因缺陷未能通過質量測試,導致後續 Galaxy Watch 7的芯片組也無法量產。報道指出,由於Exynos 2500芯片試產失敗,三星推遲了大規模生產,目前,尚不清楚是否能夠及時解決良率問題。

爲了追趕臺積電,三星的3nm製程工藝採取了比較激進的策略,主要體現在GAA晶體管架構上,臺積電的3nm依然採用FinFET。2nm纔會轉向GAA晶體管,激進的結果就是要在良率方面付出一些代價。

當年,英特爾的10nm一直難產,最大的障礙就是多年未解決的良率問題,致使14nm製程被一改再改,才能維持其CPU的更新換代。後來,經過5年左右的攻關,終於解決了10nm製程良率問題,那之後,英特爾的製程工藝發展就顯得順利多了,眼下,Intel 4量產準備就緒,Intel 3也快了。

04 製造成本深不見底

International Business Strategies(IBS)的分析師認爲,與3nm處理器相比,2nm芯片成本將增長約50%。

IBS估計,一個產能約爲每月50000片晶圓(WSPM)的2nm產線的成本約爲280億美元,而具有類似產能的3nm產線的成本約爲200億美元。增加的成本,很大一部分來自於EUV光刻設備數量的增加,這將大大增加每片晶圓和每個芯片的生產成本,而能夠接受如此高成本芯片的廠商,只有蘋果、AMD、英偉達和高通等少數幾家。

IBS估計,2025~2026年,使用臺積電N2工藝加工單個12英寸晶圓將花費蘋果約30000美元,而基於N3工藝的晶圓成本約爲20000美元。

預計三星、英特爾和AMD等公司將在未來幾年加速採用由不同製程節點製造的小芯片(Chiplet)組設計,以降低成本。同時,智能手機處理器可能會在一段時間內保留單片設計,因爲先進封裝的成本也很高。

相對於三星和英特爾,臺積電的客戶規模優勢,可以將成本控制在一定水平內。

2023年,蘋果公司佔臺積電收入的25%,爲其貢獻了175.2億美元營收,英偉達爲臺積電貢獻了77.3億美元,佔其2023年營收的11%。

2023年,臺積電的前10大客戶佔其收入的91%,高於2022年的82%,這些公司包括聯發科、AMD、高通、博通、索尼和Marvell。

隨着對AI處理器需求的增加,英偉達在臺積電收入中的份額可能會在2024年增加,該公司已經預訂了臺積電晶圓代工和CoWoS封裝產能,以確保其用於AI的優質處理器的穩定供應。今年,AMD在臺積電總營收中的份額有望超過10%。

有這些大客戶下單,臺積電就有資本大規模投資最先進製程,否則,像3nm和2nm這樣燒錢的製程產線,是很難持續支撐下去的。

相對於臺積電,三星的良率和出貨量是問題,而對於初來乍到的英特爾來說,另闢蹊徑是一個好的選擇,短期內儘量避免與臺積電的最先進製程正面交鋒,還是要找一些技術和應用突破點,爭取穩定住產能、良率和客戶。在過去的一年裏,英特爾已經在做類似的事情了,如扶持RISC-V的發展,與聯電合作開發製程工藝等。

05 結語

在2023年12月舉行的IEEE國際電子元件峯會(IEDM)上,臺積電表示,將在2nm後推出1.4nm製程,預計在2027~2028年量產,按照計劃,其2nm將在2025年量產。

三星緊追臺積電,對外宣佈計劃2027年推出1.4nm。

英特爾CEO基辛格則表示,該公司將在今後4年內推出5個製程節點,目前進展一切如預期。目前,Intel 7已進入量產階段,Intel 4現已量產準備就緒,Intel 3也會按計劃於今年底推出,Intel 20A已經試產,很可能用於生產2025年推出的Arrow Lake處理器,Intel 18A將在2025下半年量產。

從這三家的先進製程發展情況來看,決勝戰很可能出現在1nm~2nm製程節點上,那時,成本、晶體管效能和功率效率方面,臺積電的優勢恐怕會弱於現在,三星和英特爾會有更多機會。

本文作者:暢秋,來源:半導體產業縱橫(ID:ICViews),原文標題:《抗衡臺積電,曙光乍現》

風險提示及免責條款

市場有風險,投資需謹慎。本文不構成個人投資建議,也未考慮到個別用戶特殊的投資目標、財務狀況或需要。用戶應考慮本文中的任何意見、觀點或結論是否符合其特定狀況。據此投資,責任自負。

相關文章